Selasa, 17 Juli 2018

Sponsored Links

Hot Wire Chemical Vapor Deposition of Ge2Sb2Te5 Thin Films
src: jes.ecsdl.org

Chemical vapor deposition ( CVD ) is a deposition method used to produce high quality, high performance, solids, usually under vacuum. This process is often used in the semiconductor industry to produce thin films.

In a typical CVD, the wafer (substrate) is exposed to one or more volatile precursors, which react and/or decompose on the surface of the substrate to produce the desired precipitate. Often, volatile byproducts are also produced, which are removed by the gas flow through the reaction chamber.

Micro-fabrication processes widely use CVD to store materials in various forms, including: monocrystalline, polycrystalline, amorphous, and epitaxial. These materials include: silicon (SiO 2 , germanium, carbide, nitride, oxynitride), carbon (fibers, nanofibers, nanotubes, diamonds and graphene), fluorocarbons, filaments, tungsten, titanium nitride and various high- k dielectrics.


Video Chemical vapor deposition



Jenis

CVD is practiced in various formats. These processes generally differ in the means by which chemical reactions are initiated.

  • Classified by operating conditions:
    • Atmospheric atmospheric pressure (APCVD) - CVD at atmospheric pressure.
    • low pressure CVD (LPCVD) - CVD at sub-atmospheric pressure. The reduced pressure tends to reduce unwanted gas phase reactions and increase the uniformity of film across the wafer.
    • Ultrahigh vacuum CVD (UHVCVD) - CVD at very low pressure, usually below 10 -6 Pa (~ 10 -8 torr ). Note that in other fields, lower divisions between high and ultra-high vacuum are common, often 10 -7 Pa.

Most modern CVDs are LPCVD or UHVCVD.

  • Classified by the physical characteristics of steam:
    • Aerosol aided by CVD (AACVD) - CVD in which the precursor is transported to the substrate via a liquid/gas aerosol, which can be produced ultrasonically. This technique is suitable for use with non-volatile precursors.
    • Direct liquid injection CVD (DLICVD) - CVD where the precursor is in liquid (liquid or solid dissolved in a convenient solvent). The liquid solution is injected in the evaporation chamber to the injector (usually the car injector). The precursor vapor is then transported to the substrate as in the classical CVD. This technique is suitable for use on liquid or solid precursors. High growth rates can be achieved using this technique.
  • Classified by substrate type heating:
    • The CVD hot wall - CVD in which space is heated by an external power source and the substrate is heated by radiation from the hot room wall.
    • Cold wall CVD - CVD where only the substrate is heated directly either by induction or by passing the current through the substrate itself or heating in contact with the substrate. The walls of the room are at room temperature.
  • Plasma method (see also Plasma processing):
    • Microwave plasma-assisted CVD (MPCVD)
    • Plasma Enhanced CVD (PECVD) - CVDs that use plasma to increase the rate of chemical reactions from precursors. The PECVD process allows deposition at lower temperatures, which are often important in semiconductor manufacturing. Lower temperatures also allow for deposition of organic coatings, such as plasma polymers, which have been used for surface functionalization of nanoparticles.
    • enhanced remote plasma CVD (RPECVD) - Similar to PECVD except for indirect wafer substrate in plasma discharge area. Removing the wafer from the plasma region allows the processing of the temperature down to room temperature.
  • Atomic layer CVD (ALCVD) - Precipitate successive layers of various substances to produce layers, crystal films. See the atomic layer epitaxy.
  • Rubber Chemical Disposal (CCVD) - Combustion Chemical Steam Combustion or fire pyrolysis is a fire-based, fire-based technique for storing high-quality thin films and nanomaterials.
  • Hot filament CVD (HFCVD) - also known as catalytic CVD (Cat-CVD) or more commonly, initiated CVD (iCVD), this process uses hot filaments to decompose chemically the gases source. The filament temperature and substrate temperature are thus independently controlled, allowing colder temperatures for better adsorption levels on the substrate and higher temperatures required for the decomposition of precursors for free radicals in filaments.
  • Hybrid Physical-Chemical Vapor Deposition (HPCVD) - This process involves chemical decomposition of precursor gases and solid source evaporation.
  • Metalorganic chemical vapor deposition (MOCVD) - This CVD process is based on metalorganic precursors.
  • Rapid thermal CVD (RTCVD) - This CVD process uses a heating lamp or other method to heat the wafer substrate quickly. Heating only on substrates rather than gases or space walls helps reduce unwanted gas phase reactions that can lead to particle formation.
  • Vase-phase epitaxy (VPE)
  • photo-initiated CVD (PICVD) - This process uses UV rays to stimulate chemical reactions. This is similar to plasma processing, given that plasma is a strong UV-producing radiator. Under certain conditions, PICVD can be operated at or near atmospheric pressure.
  • Laser chemical vapor deposition (LCVD) - This CVD process uses a laser to heat a point or line on a substrate in a semiconductor application. In MEMS and in the production of fiber lasers used quickly to break down the process temperature gas precursors can exceed 2000oC-to build solid structures in much the same way as laser 3-D sintering based printers build solids from powders./li>

Maps Chemical vapor deposition



Using

CVD is typically used to store conformal films and add substrate surfaces in a way that traditional surface modification techniques can not afford. CVD is particularly useful in the process of deposition of the atomic layer in a very thin layer of material. Various applications for such movies exist. Gallium arsenide is used in several integrated circuits (ICs) and photovoltaic devices. Amorphous polysilicon is used in photovoltaic devices. Certain carbides and nitrides provide wear resistance. Polymerization by CVD, perhaps the most versatile of all applications, allows for super thin layers that have some highly desirable qualities, such as lubricants, hydrophobic and weatherproof to name a few. The metal-organic CVD framework, the class of crystalline nanoporous materials, has recently been shown. Applications for these films are anticipated in gas sensing and low-k dielectric CVD techniques favorable for membrane coating as well, such as those in desalination or water treatment, since these coatings can be fairly uniform (conformal) and thin that do not clog pore membranes.

Graphene Growth Mechanisms from Chemical Vapor Deposition
src: www.rcas.sinica.edu.tw


Important commercial materials prepared by CVD

Polysilicon

Polycrystalline silicon is precipitated from trichlorosilane (SiHCl 3 ) or silane (SiH 4 ), using the following reaction:

SiHCl 3 -> Si Cl 2 HCl
SiH 4 -> Si 2 H 2

This reaction is usually carried out in a LPCVD system, with a pure silane feed, or a silane solution with 70-80% nitrogen. Temperatures between 600 and 650 ° C and pressures between 25 and 150 Pa result in a growth rate of between 10 and 20 nm per minute. An alternative process uses a hydrogen-based solution. Hydrogen reduces the rate of growth, but the temperature is raised to 850 or even 1050 ° C to compensate. Polysilicon can be grown directly by doping, if gases such as phosphine, arsine or diborane are added to the CVD space. Diborane increases the rate of growth, but arsine and phosphine lower it.

Silicon dioxide

Silicon dioxide (usually called "oxide" in the semiconductor industry) can be stored by several different processes. Common source gases include silanes and oxygen, dichlorosilane (SiCl 2 H 2 ) and nitrous oxide (N 2 O), or tetraethylorthosilicate ( TEOS; Si (OC 2 H 5 ) 4 ). The reactions are as follows:

SiH 4 2 -> SiO 2 2 H 2
2 H 2 2 N 2 O -> SiO 2 2 N 2 2 HCl
Si (OC 2 H 5 ) 4 -> SiO 2 byproducts

The choice of gas source depends on the thermal stability of the substrate; for example, aluminum is sensitive to high temperatures. Silane deposits between 300 and 500 Â ° C, dichlorosilan about 900 Â ° C, and TEOS between 650 and 750 Â ° C, resulting in a layer of low temperature oxide (LTO). However, silane produces lower-quality oxides than other methods (lower dielectric strength, for example), and it accumulates nonconformal. Each of these reactions can be used in LPCVD, but silane reactions are also performed in APCVD. CVD oxides always have lower quality than thermal oxide, but thermal oxidation can only be used in the early stages of IC manufacture.

Oxides can also grow with dirt (alloy or "doping"). It may have two goals. During further process steps that occur at high temperatures, impurities can diffuse from the oxide to adjacent layers (especially silicon) and pollute them. Oxides containing 5-15% impurities with mass are often used for this purpose. In addition, the silicon dioxide mixed with phosphorus pentoxide ("P-glass") can be used to smooth the uneven surface. P-glass softens and reflects back at temperatures above 1000 Â ° C. This process requires a phosphor concentration of at least 6%, but concentrations above 8% can corrode aluminum. Phosphorus is deposited from phosphine and oxygen gas:

4 PH 3 5 O 2 -> 2 P 2 O 5 6 H < sub> 2

Glasses containing boron and phosphorus (borphosphosilicate glass, BPSG) have viscous flows at lower temperatures; about 850 Â ° C can be achieved by glass containing about 5% by weight of both constituents, but stability in the air can be difficult to achieve. High concentrations of phosphorus oxide interact with air moisture to produce phosphoric acid. The crystal BPO 4 can also precipitate from the flowing glass in the cooling; These crystals are not easily etched in the standard reactive plasmas used for the oxide pattern, and will result in defective circuits in the manufacture of integrated circuits.

In addition to this deliberate impurity, CVD oxide can contain a by-product of deposition. TEOS produces relatively pure oxides, whereas silanes introduce hydrogen impurities, and dichlorosilanes introduce chlorine.

Lower temperature deposits of silicon dioxide and glass processed from TEOS using ozone rather than oxygen have also been explored (350 to 500 ° C). Ozone glasses have very good conformation but tend to be hygroscopic - that is, they absorb water from the air due to the incorporation of silanol (Si-OH) in the glass. Infrared spectroscopy and mechanical strain as a function of temperature is a valuable diagnostic tool for diagnosing the problem.

Silicon nitride

Silicon nitride is often used as an isolator and chemical barrier in manufacturing ICs. The following two reactions deposit silicon nitride from the gas phase: 3 - 3 4 4 4 > 2

3 SiCl 2 H 2 4 NH 3 N 4 6 HCl 6 H 2

The silicon nitride stored by LPCVD contains up to 8% hydrogen. It also experiences a strong tensile stress, which can break films thicker than 200 nm. However, it has a higher resistivity and dielectric strength than most insulators generally available in microfabrication (10 16 ? Ã, Â · cm and 10 MV/cm, respectively).

Two other reactions can be used in plasma to save SiNH:

2 SiH 4 N 2 -> 2 SiNH 3 H 2
SiH 4 NH 3 -> SiNH 3 H 2

These films have much less tensile stress, but poorer electrical properties (resistivity 10 6 to 10 15 ? Ã, Â · cm, and dielectric strength 1 up to 5 MV/cm).

Metal

CVD for tungsten is obtained from tungsten hexafluoride (WF 6 ), which can be stored in two ways:

WF 6 -> W 3 F 2
WF 6 3 H 2 -> W 6 HF

Other metals, especially aluminum and copper, can be stored by CVD. In 2010, cost-effective commercial CVDs for copper did not exist, although there were volatile sources, such as Cu (hfac) 2 . Copper is usually stored by electroplating. Aluminum can be precipitated from triisobutylaluminium (TIBAL) and associated organoaluminium compounds.

CVD for molybdenum, tantalum, titanium, nickel are widely used. These metals can form useful silicides when deposited onto silicon. Mo, Ta and Ti are stored by LPCVD, from their pentachlorides. Nickel, molybdenum, and tungsten can be stored at low temperatures from their carbonyl precursors. In general, for random metals M , the chloride precipitating reaction is as follows:

2 MCl 5 5 H 2 -> 2 M 10 HCl

whereas carbonyl decomposition reactions may occur spontaneously under thermal or acoustic cavitation treatments and are as follows:

M (CO) n -> M n CO

decomposition of carbonyl metals is often hard precipitated by moisture or air, where oxygen reacts with metal precursors to form metals or metal oxides along with carbon dioxide.

The Niobium (V) oxide layer can be produced by the thermal decomposition of niobium (V) ethoxide with the loss of diethyl ether according to the equation:

2 Nb (OC 2 H 5 ) 5 -> Nb 2 O 5 C 2 H 5 OC 2 H 5

Graphene

Many variations of CVD can be utilized to synthesize graphene. Although many advances have been made, the process listed below is not yet commercially available.

  • Carbon source

The most popular carbon source used to produce graphene is methane gas. Less popular choices include petroleum asphalt, known for being cheap but more difficult to work with.

  • Use of catalyst

The use of catalysts is feasible in altering the physical processes of graphene production. Important examples include iron nanoparticles, nickel foam, and gallium vapor. This catalyst may be used in situ during graphene formation, or located further away in the deposition area. Some catalysts require another step to remove it from the sample material.

The direct growth of the domain of high quality single crystals of graphene on the dielectric substrate is essential for applications in electronics and optoelectronics. Combining the advantages of catalytic CVD and ultra-flat dielectric substrate, CVD-assisted gas catalyst paves the way to synthesize high-quality graphene for device applications while avoiding the transfer process.

  • Physical condition

Physical conditions such as ambient pressure, temperature, carrier gas, and space materials play a large role in the production of graphene.

Most systems use LPCVD with pressures ranging from 1 to 1500 Pa. However, some still use APCVD. Low pressure is used more generally because they help prevent unwanted reactions and produce a more uniform thickness of the deposition on the substrate.

On the other hand, the temperature used ranges from 800 to 1050 ° C. The high temperatures are translated to an increase in reaction rate. Caution should be exercised because high temperatures do cause higher levels of hazards in addition to greater energy costs.

  • Gas operators

Hydrogen and inert gases such as argon are fed into the system. These gases act as carriers, increasing the surface reaction and increasing the reaction rate, thereby increasing the precipitation of graphene to the substrate.

  • Space material

Tube and standard quartz chambers are used in graphene CVD. Quartz is chosen because it has a very high melting point and is chemically inert. In other words, quartz does not interfere with any physical or chemical reaction to its condition.

  • Result analysis methods

Raman spectroscopy, X-ray spectroscopy, transmission electron microscopy (TEM), and scanning electron microscopy (SEM) were used to examine and characterize graphene samples.

Raman spectroscopy is used to characterize and identify graphene particles; X-ray spectroscopy is used to characterize the chemical state; TEM is used to provide fine detail on the internal composition of graphene; SEM is used to check surface and topography.

Sometimes, atomic force microscopy (AFM) is used to measure local properties such as friction and magnetism.

The cold wall CVD technique can be used to study the underlying surface science involved in graphene nucleation and growth as it allows the control of process parameters as unprecedented as gas flow rates, temperatures and pressures as shown in recent research. This study was conducted in an artificial vertical cold wall system utilizing resistive heating by passing direct current through the substrate. It provides a conclusive insight into the nucleation and typical surface-mediation growth mechanisms involved in growing two-dimensional materials using catalytic CVD under the conditions sought in the semiconductor industry.

Graphene nanoribbon

Regardless of the electronic and thermal properties of attracting graphene, it is not suitable as a transistor for future digital devices, due to the absence of bandgap between conduction and valence bands. This makes it impossible to switch between and turn off states by observing the flow of electrons. Measuring everything, graphene nanoribbons with a width of less than 10 nm does not show an electronic bandgap and therefore a potential candidate for digital devices. Appropriate control over their dimensions, and hence electronic properties, however, is a challenging goal, and ribbons usually have rough edges that are detrimental to their performance.

Diamond

CVD can be used to produce synthetic diamond by creating the necessary state for carbon atoms in a gas to settle on the substrate in the form of crystals. CVD diamond has received much attention in materials science because it allows many new applications that were previously considered too expensive. CVD diamond growth usually occurs under low pressure (1-27 kPa; 0.145-3,926 psi; 7.5-203 Torr) and involves the administration of various amounts of gas into the chamber, energizing them and providing conditions for diamond growth on the substrate. The gases always include a carbon source, and usually include hydrogen as well, although the amount used varies greatly depending on the type of diamond being grown. Energy sources include heat filaments, microwave power, and arc discharges, among others. The energy source is intended to produce the plasma in which the gas is broken down and more complex chemicals take place. The actual chemical process for diamond growth is still in research and complicated by the various diamond growth processes used.

By using CVD, diamond films can be planted in a large substrate area with control over the resulting diamond properties. In the past, when high temperature pressure techniques (HPHT) were used to produce diamonds, the result was usually a very small free-standing diamond of any size. With a diamond CVD growth area more than fifteen centimeters (six inches) in diameter has been achieved and a much larger area is likely to be successfully coated with diamonds in the future. Fixed this process is key to activate some important apps.

Direct diamond growth on the substrate allows the addition of many important diamond qualities to other materials. Because diamonds have the highest thermal conductivity of any bulk material, coating the diamonds into electronics that produce high heat (such as optics and transistors) allows diamonds to be used as heat sinks. Diamond films are being planted on valve rings, cutlery, and other objects that benefit from diamond hardness and extremely low wear rates. In each case, diamond growth should be done carefully to achieve the necessary attachment to the substrate. The extremely high scratch resistance and Diamond thermal conductivity, combined with the lower heat expansion coefficient of Pyrex glass, the coefficient of friction close to Teflon (polytetrafluoroethylene) and strong lipophilicity will make it an ideal non-stick coating for cookware if large areas substrate can be coated economically.

CVD growth allows one to control the properties of the resulting diamond. In the field of diamond growth, the word "diamond" is used as a description of any material that mainly consists of sp3-bound carbon, and there are many types of diamonds included in this. By adjusting the processing parameters - especially the introduced gases, but also including the under operating system pressure, the diamond temperature, and the method of producing plasma - many different materials that can be considered diamonds can be made. Single crystal diamonds can be made to contain various dopants. Polycrystalline diamonds consisting of grain size from a few nanometers up to several micrometers can be planted. Some polycrystalline diamond grains are surrounded by thin carbon, not diamond, while others are not. These different factors affect the hardness, smoothness, conductivity, optical properties of diamonds and many others.

Investigation of Electron Cyclotron Resonance Chemical Vapor ...
src: jss.ecsdl.org


Chalcogenides

Commercially, mercury cadmium telluride continues to be attractive for infrared radiation detection. Consisting of an alloy of CdTe and HgTe, this material can be prepared from dimethyl derivatives of each element.

OAK Central
src: central.oak.go.kr


See also

  • Apollo Diamond
  • Decomposition of the atomic layer
  • Cylinder bubbler
  • Carbonyl Metallurgy
  • vapor deposition assisted by electrostatic aid
  • Element Six
  • Ionic coating
  • Organic vapor phase epitaxy
  • Virtual metrology

Sandia National Labs | California » Materials Physics Department
src: www.sandia.gov


References


OAK Central
src: central.oak.go.kr


Further reading

  • Jaeger, Richard C. (2002). "Movie Deposition". Introduction to Microelectronics Fabrication (2nd ed.). Upper Saddle River: Prentice Hall. ISBN: 0-201-44494-1.
  • Smith, Donald (1995). Thin Film Deposition: Principles and Practice . MacGraw-Hill. ISBN: 0-07-058502-4.
  • Dobkin and Zuraw (2003). Chemical Deposition Deposition Principles . Kluwer. ISBN: 1-4020-1248-9.
  • K. Okada "Plasma-enhanced chemical vapor deposition from nanocrystalline diamond" Sci. Technol. Adv. Mater. 8 (2007) 624 free download review
  • T. Liu, D. Raabe and S. Zaefferer "Analysis of 3D EBSD tomography from a thin layer of CVD diamond" Sci. Technol. Adv. Mater. 9 (2008) 035013 free download
  • Christoph Wild "CVD Diamond Properties and Useful Formula" CVD Diamond Booklet (2008) PDF free-download
  • Dennis W. Hess, DEPOSITION OF CHEMICAL VECTOR FROM ELECTRIC AND METAL FILMS free download of Electronic Materials and Processing: Proceedings of the First Electronic Materials and Processing Congress held in conjunction with the 1988 World Materials Congress of Chicago, Illinois, USA, 24-30 September 1988, Edited by Prabjit Singh (Sponsored by Electronic Materials and ASM Processing Department INTERNATIONAL)

Source of the article : Wikipedia

Comments
0 Comments